2019年5月27日 星期一

Allegro電路版設計 - Highlight線路與關閉Highlight線路

(1). 點選"Highlight"的ICON,
 或是點選"Shadow Toggle"的ICON。

(2).  在"Find By Name"欄位中選擇"Net",在按下"More"按鍵,選擇欲 Highlight的線路後按"OK"。

(3). 顯示結果如下圖所示。

(4). 關閉Highlight線路,點選"Dehighlight"的ICON。

(5). 按住"滑鼠左鍵",框選之前Highlight線路的區域,既可關閉Highlight。

2019年5月21日 星期二

Allegro電路板設計 - 1:1列印設計圖

(1). 選擇"File" > "Plot Setup..."。

(2). 在"Plot orientation:"欄位中勾選"Auto center",如果需要列印背面也請勾選"Mirror",
在"Plot method:"欄位中勾選"Black and white",
在"Plot contents:"欄位中勾選"Sheet contents",
設定完成按"OK"結束。


(3). 選擇"File" > "Plot..."開始列印,或是選擇"Plot Preview..."預覽列印。

2019年5月13日 星期一

OrCAD 電路圖設計-產生Excel BOM表

(1). 點選"Tools" > "Bill of Materials..."。

(2). 勾選"Open in Excel",之後按"OK"。

(3). 結果如下所示。

Allegro電路板設計 - 鋪銅後修改單個Pad、Via的Shape

(1). 點選"Edit" > "Properties"。

(2). 只勾選"Pins"。

(3). 先點選Pad或Via,在"Available Properties"欄中選擇"Dyn_Thermal_Con_Type","Value"欄位中選擇欲修改的形狀,最後按"Apply"結束設定。

Orthogonal: 十字型連接。
Diagonal: X型連接。
Full Contact: 完整連接。
8 Way Connect: 八個連接點。
None: 不連接。