2018年10月28日 星期日

Allegro電路板設計 - (12)Layout-輸出NC Drill Files、文字面、Gerber

產生文字面、輸出NC Drill Files、Gerber之前要先查看報表,看看設計是否有錯誤。

**快速檢查DRC操作流程**
(1). 點選"Drc Updata"的ICON,更新DRC檢查資料。

(2). 點選"Display" > "Status..."。

(3). 檢查Status視窗中,Symbols and nets與Shapes中是否有錯誤,如有顯示紅、黃色錯誤請修正,之後再執行步驟(1)、(2)、(3)。

輸出NC Drill Files:
步驟可以分為"NC Parameters"(鑽孔檔)、"NC Drill"。

(1). 選擇"Manufacture" > "NC" > "NC Parameters",開啟"NC Parameters"視窗。

(2). 勾選"Enhanced Excellon format",按下"Close",關閉"NC Parameters"視窗。
*如果產生鑽孔檔發生"The number of integer places specified for the drill output data is not enough for this design."的錯誤訊息,將Format: 2 . 5的 2 改成 3,就可以正確產生鑽孔檔。

(3). 選擇"Manufacture" > "NC" > "NC Drill",開啟"NC Drill"視窗。

(4). 勾選"Auto tool select,"按下"Drill"按鍵。

(5). 輸出結果如下圖所示。

*如果電路中有使用到異形鑽孔(非圓形的鑽孔),需要再產生.rou檔。
(6). 選擇"Manufacture" > "NC" > "NC Route",開啟"NC Route"視窗。

(7). 按下"Route"按鍵。

產生文字面:
(1).  選擇"Manufacture" > "Silkscreen...",開啟"Auto Silkscreen"視窗。

(2).
Layer區塊:
勾選Both

Classes and subclasses區塊:
    Component value: None
    Device type: None
    Tolerance: None
    User part number: None

Text區塊:
取消勾選 180
取消勾選 270
取消勾選 Allow under components(允許在元件下方)

勾選Lock autosilk text for incremental updates(鎖定增加更新autosilk文字)

設定完成按"Silkscreen",產生文字面。

(3). 顯示結果如下所示。

輸出Gerber:
(1). 選擇"Manufacture" > "Artwork...",開啟"Artwork Control Form"視窗。

(2). 點選"General Parameters"標籤頁,

"Device type"群組:
勾選"Gerber RS274X"

"Format"群組:
Integer places: "3"
Decimal places: "5"(表示Millmeters小數點兩位)

"Output units"群組:
勾選"Millmeters"(輸出單位為公制)

(3). 點選"Film Control"標籤頁,
點選"Available films"群組內增加Gerber需要輸出的檔案夾,使用"滑鼠左鍵"點選BOTTOM或TOP檔案夾,再按"滑鼠右鍵" > "Add",

勾選"OUTLINE",之後按"OK"增加物件。

增加結果如下所示。

使用"滑鼠左鍵"點選BOTTOM或TOP檔案夾,再按"滑鼠右鍵" > "Display for Visibility",複製目前檔案夾的內容,

再選擇"Add",增加檔案夾,

輸入檔案夾名稱後,按"OK",

使用"滑鼠左鍵"點選新增檔案夾內的物件,按"Cut",刪除物件,再依照下述所列依序增加物件,

-TOP
  +ETCH/TOP
  +PIN/TOP
  +VIA CLASS/TOP
  +BOARD GEOMETRY/OUTLINE

-BOTTOM
  +ETCH/BOTTOM
  +PIN/BOTTOM
  +VIA CLASS/BOTTOM
  +BOARD GEOMETRY/OUTLINE

*********************************四層板內層加入
-GND
  +VIA CLASS/GND
  +PIN/GND
  +ETCH/GND
  +BOARD GEOMETRY/OUTLINE

-VCC
  +VIA CLASS/VCC
  +PIN/VCC
  +ETCH/VCC
  +BOARD GEOMETRY/OUTLINE

*********************************

-OUTLINE
  +BOARD GEOMETRY/OUTLINE
  +BOARD GEOMETRY/SILKSCREEN_TOP


-SILKSCREEN_TOP(印刷面)
  +BOARD GEOMETRY/SILKSCREEN_TOP
  +MANUFACTURING/AUTOSILK_TOP
  +BOARD GEOMETRY/OUTLINE

-SILKSCREEN_BOTTOM(印刷面)
  +BOARD GEOMETRY/SILKSCREEN_BOTTOM
  +MANUFACTURING/AUTOSILK_BOTTOM
  +BOARD GEOMETRY/OUTLINE

-SOLDERMASK_TOP(防焊面)
  +VIA CLASS/SOLDERMASK_TOP
  +PIN/SOLDERMASK_TOP
  +PACKAGE GEOMETRY/SOLDERMASK_TOP
  +BOARD GEOMETRY/SOLDERMASK_TOP
  +BOARD GEOMETRY/OUTLINE

-SOLDERMASK_BOTTOM(防焊面)
  +VIA CLASS/SOLDERMASK_BOTTOM
  +PIN/SOLDERMASK_BOTTOM
  +PACKAGE GEOMETRY/SOLDERMASK_BOTTOM
  +BOARD GEOMETRY/SOLDERMASK_BOTTOM
  +BOARD GEOMETRY/OUTLINE

-PASTEMASK_TOP(SMD元件的Pad錫膏面)
  +VIA CLASS/PASTEMASK_TOP
  +PIN/PASTEMASK_TOP
  +PACKAGE GEOMETRY/PASTEMASK_TOP
  +BOARD GEOMETRY/OUTLINE

-PASTEMASK_BOTTOM(SMD元件的Pad錫膏面)
  +VIA CLASS/PASTEMASK_BOTTOM
  +PIN/PASTEMASK_BOTTOM
  +PACKAGE GEOMETRY/PASTEMASK_BOTTOM
  +BOARD GEOMETRY/OUTLINE

-DRILL(鑽孔)
  +MANUFACTURING/NCDRILL_LEGEND
  +MANUFACTURING/NCDRILL_FIGURE
  +MANUFACTURING/NCLEGEND-1-6
  +DRAWING FORMAT/OUTLINE
  +BOARD GEOMETRY/OUTLINE

(4). 修改印刷面線寬,點選"SILKSCREEN_TOP"或"SILKSCREEN_BOTTOM",在"Undefined line width:"輸入印刷面線寬,這裡輸入"0.1"。

(5). 點選"Select all"勾選所有檔案夾,最後按"Create Artwork",產生Gerber,

顯示報告如下所示。 

(6). 產生的檔案如下的所示。

2018年10月27日 星期六

Allegro電路板設計 - (11)Layout-文字面的元件標識調整

(1). 選擇"Edit" > "Change"。

(2). 在繪圖視窗的右側"Options"視窗下,

Class: Ref Des
New subclass: Silksceen_Top(選擇文字面)

勾選"Text block:" 使用上下按鍵調整字型大小。

(3). 使用"滑鼠左鍵"在繪圖視窗中圈選需要修改的元件標識區域,完成按"滑鼠右鍵" > "Done"指令結束"。

(4). 調整元件標識位置。


注意:
修改預設字型大小的設定,
(1). 點選"Setup" > "Design Parameters...",開啟"Design Parameters Editor"視窗。
(2). 選擇"Text"標籤頁,在"Size"群組中,"Setup text sizes"的"..."按鍵,開啟"Text Setup"視窗,可以看到自型大小規格,可以自行調整,設定完成按"OK"結束。

注意:
設定文字面色彩。
a. 選擇"Display" > "Color/Visibility..."。

b. 在目錄"Components" > "Ref Des",將"Silkscreen_Bottom"、"Silkscreen_Top",改成黃色

Allegro電路板設計 - (10)Layout-鋪銅

鋪銅可以分為Dynamic Copper(動態銅)與Static Copper(靜態銅),
a. Dynamic Copper: 可以隨者設計的改變,動態調整鋪銅去符合設計規範。
b. Static Copper: 需設計者自己手動修改鋪銅,去因應設計的改變。

因為Dynamic Copper比較方便,因此下列步驟為Dynamic Copper的設定方式。


鋪銅使用Z-Copy指令
在使用Z-Copy指令先要確認,板框的Board Outline是否為封閉圖形。
(1). 選擇"Edit" > "Z-Copy"。

(2). 在繪圖視窗的右側"Options"視窗下,"Copy to Class/Subclass:"區塊設定,

Etch
Top(選擇需要鋪銅的板層,這裡選擇Top層)

在"Shape Options"群組中,勾選
Create dynamic shape

在"Size"中勾選
Contract(收縮)

Offset:
輸入Board Outline向內收縮的尺寸。

(3). 使用"滑鼠左鍵"點選Board Outline的圖形,複製完成後按"滑鼠右鍵" > "Done"結束。

(3). 使用"滑鼠左鍵"點選鋪銅區域,再按"滑鼠右鍵",選擇"Assign net"。

(3). 在Options欄位中,點選Assign net name:路徑按鍵,選擇鋪銅區域要連接的網絡(VDD或是GND),之後按"OK",完成後按"滑鼠右鍵" > "Done"結束。


2018年10月26日 星期五

Allegro電路板設計 - (9)Layout-佈線、增加Via、DRC檢查

General Edit模式:

(1). 選擇"Route" > "Connect"。

(2). 在"Options"視窗中的"Line width:"輸入線寬"0.5"。

(3). 點選第一個元件腳位,走線的過程中按"滑鼠左鍵"即可定位走線,如果要增加Via,可以已先按"滑鼠左鍵"定位,在按"滑鼠右鍵",選擇"Add Via"加入Via。
(4). 至第二個元件腳位,按"滑鼠左鍵"定位,之後按"滑鼠右鍵",選擇"Done"結束佈線。

Etch Edit模式:
  為了要增加佈線的效率,可以選擇Etch Edit模式。
(1). 選擇"Setup" > "Application Mode" > "Etch Edit"。
(2). 使用"滑鼠右鍵"點選"繪圖區域空白處",選擇"Customize" > "Enable Single Click Execution",開啟單擊執行的功能。
(3). 點選第一個元件腳位,走線的過程中按"滑鼠左鍵"即可定位走線。
(4). 至第二個元件腳位,按"滑鼠左鍵"定位,自動結束佈線。

注意: 結束Etch Edit模式,要改回General Edit模式,選擇"Setup" > "Application Mode" > "General Edit"。

增加Via:
    增加Via的方法有二種,
a. 在目前的游標位置,雙擊"滑鼠左鍵",適合在PCB正反面都是GND鋪銅使用。
b. 按"滑鼠右鍵",點選"Add Via",適合在佈線時換層使用。

切換板層:
使用數字鍵盤"+"、"-"鍵切換板層。

DRC檢查:
  佈線完成後,可以執行DRC檢查,看看是否有超出設計規範。
(1). 選擇"Display" > "Status..",開啟"Status"視窗。

(2). 在"DRCs"區域,按下"Updata DRC"按鍵,會顯示錯誤的數量,使用"滑鼠左鍵"按下"DRC errors"前的按鍵。

(3). 之後會開啟"Design Rules Check(DRC) Report"視窗,既可顯示錯誤訊息,按下X關閉視窗,按下"OK"關閉"Status"視窗。

注意:
     當按"Add Via"無法選擇時,表示沒有設定Via類別。
a. 選擇"Setup" > "Constraints" > "Physical"。

b. 使用"滑鼠左鍵"連擊兩次,點選"Vias"欄位。

c. 點選左邊視窗中需要加入的Via(Package Symbol),加入後按"OK"。

d. 可以看到加入的結果,按X關閉。

注意:
如果已經佈好線,需要同時移動元件、佈線與via,請點選"Move",按著"Ctrl"鍵,並使用滑鼠圈選欲移動的元件區域,此時就可以同時移動元件、佈線與via。





Allegro電路板設計 - (8)Layout-OrCAD與Allegro交互布局

(1). 確定OrCAD設置,點選專案標籤頁下的專案路徑名稱(xxx.dsn)。
(2). 點選"Options" > "Preferences",開啟"Preferences"視窗。

(3). 點選"Miscellaneous"標籤頁,勾選"Enable Intertool Communication",按"確認"。


(4). 同時開啟OrCAD與Allegro專案,Allegro已將板框繪製完成,並導入Netlist,元件已經放置在板框周圍。
(5).  Allegro中選擇"Move"指令,"Options"視窗中,確認"Point:"下拉式選單,選擇"Body Center","Find"視窗中,有勾選"Symbols'。

(6). 至OrCAD,使用"滑鼠左鍵"框選電路圖。

(7). 至Allegro,點選空白處,這時OrCAD所圈選的元件,會在Allegro中懸掛至游標上,使用"滑鼠左鍵"點選版框較空白處,以方便後續擺件。


注意:
a. 元件要擺放PCB背面,可以選擇"Move"指令,點選元件,在按"滑鼠右鍵",選擇"Mirror",元件便移置背面,最後選擇"Done"指令結束。

b. 元件旋轉,可以選擇"Move"指令,在"Option"視窗中,可以設定下拉式選單,

Type:
  Absolute(元件逆時針旋轉一個固定角度)
  Incremental(按照一定角度間隔以增量方式旋轉)

Angle:(旋轉角度)

Point:(元件旋轉中心位置)
  Sym Origin(元件中心)
  User pick(用某一腳位為中心)

設定完成,點選要旋轉的元件,之後按"滑鼠右鍵" > "Rotate",移動滑鼠開始旋轉元件。