2018年10月28日 星期日

Allegro電路板設計 - (12)Layout-輸出NC Drill Files、文字面、Gerber

產生文字面、輸出NC Drill Files、Gerber之前要先查看報表,看看設計是否有錯誤。

**快速檢查DRC操作流程**
(1). 點選"Drc Updata"的ICON,更新DRC檢查資料。

(2). 點選"Display" > "Status..."。

(3). 檢查Status視窗中,Symbols and nets與Shapes中是否有錯誤,如有顯示紅、黃色錯誤請修正,之後再執行步驟(1)、(2)、(3)。

輸出NC Drill Files:
步驟可以分為"NC Parameters"(鑽孔檔)、"NC Drill"。

(1). 選擇"Manufacture" > "NC" > "NC Parameters",開啟"NC Parameters"視窗。

(2). 勾選"Enhanced Excellon format",按下"Close",關閉"NC Parameters"視窗。
*如果產生鑽孔檔發生"The number of integer places specified for the drill output data is not enough for this design."的錯誤訊息,將Format: 2 . 5的 2 改成 3,就可以正確產生鑽孔檔。

(3). 選擇"Manufacture" > "NC" > "NC Drill",開啟"NC Drill"視窗。

(4). 勾選"Auto tool select,"按下"Drill"按鍵。

(5). 輸出結果如下圖所示。

*如果電路中有使用到異形鑽孔(非圓形的鑽孔),需要再產生.rou檔。
(6). 選擇"Manufacture" > "NC" > "NC Route",開啟"NC Route"視窗。

(7). 按下"Route"按鍵。

產生文字面:
(1).  選擇"Manufacture" > "Silkscreen...",開啟"Auto Silkscreen"視窗。

(2).
Layer區塊:
勾選Both

Classes and subclasses區塊:
    Component value: None
    Device type: None
    Tolerance: None
    User part number: None

Text區塊:
取消勾選 180
取消勾選 270
取消勾選 Allow under components(允許在元件下方)

勾選Lock autosilk text for incremental updates(鎖定增加更新autosilk文字)

設定完成按"Silkscreen",產生文字面。

(3). 顯示結果如下所示。

輸出Gerber:
(1). 選擇"Manufacture" > "Artwork...",開啟"Artwork Control Form"視窗。

(2). 點選"General Parameters"標籤頁,

"Device type"群組:
勾選"Gerber RS274X"

"Format"群組:
Integer places: "3"
Decimal places: "5"(表示Millmeters小數點兩位)

"Output units"群組:
勾選"Millmeters"(輸出單位為公制)

(3). 點選"Film Control"標籤頁,
點選"Available films"群組內增加Gerber需要輸出的檔案夾,使用"滑鼠左鍵"點選BOTTOM或TOP檔案夾,再按"滑鼠右鍵" > "Add",

勾選"OUTLINE",之後按"OK"增加物件。

增加結果如下所示。

使用"滑鼠左鍵"點選BOTTOM或TOP檔案夾,再按"滑鼠右鍵" > "Display for Visibility",複製目前檔案夾的內容,

再選擇"Add",增加檔案夾,

輸入檔案夾名稱後,按"OK",

使用"滑鼠左鍵"點選新增檔案夾內的物件,按"Cut",刪除物件,再依照下述所列依序增加物件,

-TOP
  +ETCH/TOP
  +PIN/TOP
  +VIA CLASS/TOP
  +BOARD GEOMETRY/OUTLINE

-BOTTOM
  +ETCH/BOTTOM
  +PIN/BOTTOM
  +VIA CLASS/BOTTOM
  +BOARD GEOMETRY/OUTLINE

*********************************四層板內層加入
-GND
  +VIA CLASS/GND
  +PIN/GND
  +ETCH/GND
  +BOARD GEOMETRY/OUTLINE

-VCC
  +VIA CLASS/VCC
  +PIN/VCC
  +ETCH/VCC
  +BOARD GEOMETRY/OUTLINE

*********************************

-OUTLINE
  +BOARD GEOMETRY/OUTLINE
  +BOARD GEOMETRY/SILKSCREEN_TOP


-SILKSCREEN_TOP(印刷面)
  +BOARD GEOMETRY/SILKSCREEN_TOP
  +MANUFACTURING/AUTOSILK_TOP
  +BOARD GEOMETRY/OUTLINE

-SILKSCREEN_BOTTOM(印刷面)
  +BOARD GEOMETRY/SILKSCREEN_BOTTOM
  +MANUFACTURING/AUTOSILK_BOTTOM
  +BOARD GEOMETRY/OUTLINE

-SOLDERMASK_TOP(防焊面)
  +VIA CLASS/SOLDERMASK_TOP
  +PIN/SOLDERMASK_TOP
  +PACKAGE GEOMETRY/SOLDERMASK_TOP
  +BOARD GEOMETRY/SOLDERMASK_TOP
  +BOARD GEOMETRY/OUTLINE

-SOLDERMASK_BOTTOM(防焊面)
  +VIA CLASS/SOLDERMASK_BOTTOM
  +PIN/SOLDERMASK_BOTTOM
  +PACKAGE GEOMETRY/SOLDERMASK_BOTTOM
  +BOARD GEOMETRY/SOLDERMASK_BOTTOM
  +BOARD GEOMETRY/OUTLINE

-PASTEMASK_TOP(SMD元件的Pad錫膏面)
  +VIA CLASS/PASTEMASK_TOP
  +PIN/PASTEMASK_TOP
  +PACKAGE GEOMETRY/PASTEMASK_TOP
  +BOARD GEOMETRY/OUTLINE

-PASTEMASK_BOTTOM(SMD元件的Pad錫膏面)
  +VIA CLASS/PASTEMASK_BOTTOM
  +PIN/PASTEMASK_BOTTOM
  +PACKAGE GEOMETRY/PASTEMASK_BOTTOM
  +BOARD GEOMETRY/OUTLINE

-DRILL(鑽孔)
  +MANUFACTURING/NCDRILL_LEGEND
  +MANUFACTURING/NCDRILL_FIGURE
  +MANUFACTURING/NCLEGEND-1-6
  +DRAWING FORMAT/OUTLINE
  +BOARD GEOMETRY/OUTLINE

(4). 修改印刷面線寬,點選"SILKSCREEN_TOP"或"SILKSCREEN_BOTTOM",在"Undefined line width:"輸入印刷面線寬,這裡輸入"0.1"。

(5). 點選"Select all"勾選所有檔案夾,最後按"Create Artwork",產生Gerber,

顯示報告如下所示。 

(6). 產生的檔案如下的所示。

沒有留言:

張貼留言