2015年2月3日 星期二

Quartus II 13.0 - (1) 新增New Project

(1). 點選Windows桌面Quartus II捷徑。
(2). 選擇"Create a New Project (New Project Wizard)"。
(3). 按"Next >"至下一步驟。
(4). 設定存檔路徑與New Project名稱。
a. 我這裡選擇存檔路徑為"D:/Altera",可以自行設定其他存檔路徑。
b. New Project名稱,我這裡先輸入"Test",可以自行設定其他Project檔名。
c. New Project名稱,我這裡先輸入"Test",可以自行設定其他Project檔名。
(5). 按"Next >"至下一步驟。
(6). 選擇FPGA Family,因為我這裡選擇"Cyclone IV E",FPGA Available devices我暫不做選擇。
(7). Simulation工具,選擇使用"ModelSim-Altera",語言選擇"Verilog HDL"。
(8). 設定完成按"Finish"結束設定。

沒有留言:

張貼留言